S&EF Directory

Directory of Science & Engineering Faculty in Selected Institutions of Higher Education


home
members
institutions
include me
bulletin
search
order
related links
site map
contact us

 
 
Associate Professor
EE-Systems
University of Southern California
SMART Interconnects Group, USC
3740 McClintock Ave., EEB-208
Los Angeles, CA 90089-2562

Phone: 1-213-740-4482
FAX: 1-213-740-4418
Email: tpink@charity.usc.edu
URL: www.usc.edu/dept/ceng/pinkston

B.S. Ohio State University 1985
M.S. Stanford University 1986
Ph.D. Stanford University 1993

Picture of Timothy Pinkston
Timothy Pinkston

Description of Research
The SMART Interconnects Group at USC, under the leadership of Dr. Pinkston, rigorously pursues research on technologies and techniques for achieving high-performance communication in parallel computer systems---massively parallel processor (MPP) as well as network of workstation (NOW) architectures. Our efforts have focused on two areas: (1) development of efficient, deadlock-free, adaptive, and dynamically reconfigurable network routing protocols and (2) design, modeling, and implementation of emerging optoelectronic interconnection network router architectures. Among some of our achievements, we have developed an intuitive model, a theoretical framework, and empirical techniques for characterizing network deadlocks; we have introduced the technique of progressive deadlock recovery-based routing; we have proposed a novel fully-adaptive optoelectronic network router architecture; and we have proposed a hybrid cache-coherence/network interconnect architecture based on optical technology.
Recent Papers or Publications
"Flexible and Efficient Routing Based on Progressive Deadlock Recovery," Timothy Mark Pinkston, in IEEE Transactions on Computers, Vol. 48, No. 7, pp. 649-669, July, 1999.

"Characterization of Deadlocks in $k$-ary $n$-cube Networks," Timothy Mark Pinkston and Sugath Warnakulasuriya, in IEEE Transactions on Parallel and Distributed Systems, Vol. 10, No. 9, pp. 904-921, September, 1999.

"Design Issues for Core-based Optoelectronic Chips: A Case Study of the WARRP Network Router," Mongkol Raksapatcharawong and Timothy Mark Pinkston, invited paper in IEEE Journal of Special Topics in Quantum Electronics (JSTQE) Special Issue on Smart Photonics}, March 1999.

Special Honors or Awards
Dr. Pinkston has authored over 50 refereed technical papers and has received numerous awards, including the

NSF Career Award (1996)

NSF Minority Research Initiation Award (1994)

USC Zumburge Foundation Award (1994)

Hughes Doctoral Fellowship (1989-1992)

NSBE Best Technical Paper Award for Region VI (1990)

He is a senior member of the IEEE and is an associate editor for the IEEE Transactions on Parallel and Distributed Systems.

He has also been a member of the program committee for several major conferences including ICPP, IPDPS, SC, PCRCW, MPPOI, IEEE LEOS, OC, and WOCS.

top of page

Back to alphabetical list
institution list

    home | members | institutions | include me | bulletin board | search | order | feedback | links | site map | contact us  

  Copyright © MIT 2000
Sponsored by the MIT Provost's Office
Last updated on 8/23/2000 by www-deanofcollege@vassar.edu